Перейти к содержанию

TSMC начнёт массовый выпуск чипов по усовершенствованному 3-нм техпроцессу в 2023 году


Рекомендуемые сообщения

Компания TSMC подтвердила, что переход на массовое производство чипов согласно передовым технологическим процессам будет проводиться согласно графику. Разработка усовершенствованного 3-нм техпроцесса N3E идёт гладко. Первые коммерческие продукты на его основе ожидаются в следующем году. Продукты на базе основного 3-нм техпроцесса N3 начнут массово выпускаться в этом году. Тестовый выпуск чипов согласно нормам 3 нм был запущен в прошлом году. Сейчас же TSMC массово производит чипы согласно техпроцессу 5 нм, на базе которого этой осенью ожидается выход на рынок большого числа различных потребительских продуктов. По словам тайваньской компании, выпуск чипов по норам 5 нм принёс ей 21 % от общей выручки во втором квартале этого года.

 

tsmc_01qfdqwefqfg.jpg

 

Одной из главных особенностей узла N3 является технология FinFlex, которая должна увеличить для заказчиков привлекательность чипов, выпускаемых компанией. Суть технологии состоит в том, что производитель позволит использовать разные виды FinFET-транзисторов в рамках одного полупроводникового кристалла. В конце августа глава компании Си-Си Вэй (C.C. Wei) сообщил, что TSMC столкнулась со множеством сложностей при разработке 3-нм техпроцесса. Однако совсем скоро начнётся массовый выпуск чипов на его основе, и многие клиенты компании этого очень ждут. В то же время в TSMC подтвердили, что в 2025 году планируют начать выпуск кремниевых пластин с использованием 2-нм техпроцесса производства. Для этого компания построит новый завод на территории Научного парка Синьчжу. Подготовка инфраструктуры для новой фабрики уже началась.

 

1200x-1tsmc.jpg

 

В рамках 2-нм техпроцесса TSMC будет выпускать микросхемы с архитектурой транзистора с круговым затвором Gate-All-Around (GAA). Прогнозируется, что TSMC выйдет на массовое производство чипов на основе 2-нм техпроцесса раньше, чем это сделают южнокорейский гигант Samsung Electronics и американская компания Intel. По мнению аналитиков, тайваньская компания в 2024 году станет первым производителем чипов, который задействует новое оборудование для литографии в глубоком ультрафиолете (EUV) с высокой числовой апертурой. Согласно прогнозам, чипы на базе 2-нм техпроцесса будут на 10–15 % быстрее микросхем на базе узла N3E при том же уровне энергопотребления или на 25–30 % энергоэффективнее при той же тактовой частоте работы. Из-за высокого спроса на передовые микросхемы производственные мощности TSMC по-прежнему загружены на 100 %. Компания ожидает, что это продлится как минимум до конца текущего года. В то же время многие соглашаются, что полупроводниковой отрасли сейчас приходится проводить корректировку запасов микросхем в связи со снижающимся потребительским спросом на различную бытовую технику.

____________________________________________________________
♦♦♦♦♦♦♦♦◄♫►WeissRussland◄♫►♦♦♦♦♦♦◄♠GRODNO♠►♦♦♦♦♦♦♦♦
---------------------------------------------------------------------------------------------------------
♠ 75.0°e ♣ 53.0°e ♦ 36.0°e ♥ 19.2°e ♠ 13.0°e ♥ 4.8°e ♠ 4.0°w ♣ 5.0°w ♦
____________________________________________________________

Ссылка на комментарий
Поделиться на другие сайты

Для публикации сообщений создайте учётную запись или авторизуйтесь

Вы должны быть пользователем, чтобы оставить комментарий

Создать учетную запись

Зарегистрируйте новую учётную запись в нашем сообществе. Это очень просто!

Регистрация нового пользователя

Войти

Уже есть аккаунт? Войти в систему.

Войти
×
×
  • Создать...